Merge branch 'develop' of http://gitlab.mynt.com/mynteye/mynt-eye-s-sdk into develop

This commit is contained in:
TinyO 2019-08-21 09:13:38 +08:00
commit 47471ed2ea
7 changed files with 13 additions and 13 deletions

View File

@ -225,8 +225,8 @@ enum class Option : std::uint8_t {
/** /**
* HDR mode * HDR mode
* <p> * <p>
* 0: 10-bit<br> * 0: normal<br>
* 1: 12-bit * 1: WDR
* </p> * </p>
*/ */
HDR_MODE, HDR_MODE,

View File

@ -69,8 +69,8 @@ enum class Option : int {
IR_CONTROL, IR_CONTROL,
/** /**
* HDR mode * HDR mode
* 0: 10-bit * 0: normal
* 1: 12-bit * 1: WDR
*/ */
HDR_MODE, HDR_MODE,
/** /**

View File

@ -69,8 +69,8 @@ public enum Option {
IR_CONTROL, IR_CONTROL,
/** /**
* HDR mode * HDR mode
* 0: 10-bit * 0: normal
* 1: 12-bit * 1: WDR
*/ */
HDR_MODE, HDR_MODE,
/** /**

View File

@ -161,8 +161,8 @@ option = enum {
# range: [0,160], default: 0 # range: [0,160], default: 0
ir_control; ir_control;
# HDR mode # HDR mode
# 0: 10-bit # 0: normal
# 1: 12-bit # 1: WDR
hdr_mode; hdr_mode;
# The range of accelerometer # The range of accelerometer

View File

@ -38,7 +38,7 @@ standard/desired_brightness: -1
standard/ir_control: 80 standard/ir_control: 80
# standard/ir_control: 0 # standard/ir_control: 0
# standard/hdr_mode, 0: 10-bit, 1: 12-bit # standard/hdr_mode, 0: normal, 1: WDR
standard/hdr_mode: -1 standard/hdr_mode: -1
# standard/hdr_mode: 0 # standard/hdr_mode: 0

View File

@ -38,7 +38,7 @@ standard/desired_brightness: -1
standard/ir_control: 80 standard/ir_control: 80
# standard/ir_control: 0 # standard/ir_control: 0
# standard/hdr_mode, 0: 10-bit, 1: 12-bit # standard/hdr_mode, 0: normal, 1: WDR
standard/hdr_mode: -1 standard/hdr_mode: -1
# standard/hdr_mode: 0 # standard/hdr_mode: 0

View File

@ -38,7 +38,7 @@ standard/desired_brightness: -1
standard/ir_control: 80 standard/ir_control: 80
# standard/ir_control: 0 # standard/ir_control: 0
# standard/hdr_mode, 0: 10-bit, 1: 12-bit # standard/hdr_mode, 0: normal, 1: WDR
standard/hdr_mode: -1 standard/hdr_mode: -1
# standard/hdr_mode: 0 # standard/hdr_mode: 0