From fea9fe1181d91b4706dba318f31453080bdd8038 Mon Sep 17 00:00:00 2001 From: MDreamer Date: Sat, 10 Jan 2015 20:26:22 +0200 Subject: [PATCH 1/2] added msgeq7 + capacitor & resistors --- shields/tutuShield/tutuShield_00.job | Bin 0 -> 65321 bytes shields/tutuShield/tutuShield_01.job | Bin 0 -> 65670 bytes shields/tutuShield/tutuShield_02.job | Bin 0 -> 65672 bytes 3 files changed, 0 insertions(+), 0 deletions(-) create mode 100644 shields/tutuShield/tutuShield_00.job create mode 100644 shields/tutuShield/tutuShield_01.job create mode 100644 shields/tutuShield/tutuShield_02.job diff --git a/shields/tutuShield/tutuShield_00.job b/shields/tutuShield/tutuShield_00.job new file mode 100644 index 0000000000000000000000000000000000000000..89711231d5b04f58131ae58e2c4786cc4bc46f44 GIT binary patch literal 65321 zcmeHw3y@@2dEQ;2l~$uCQh+rebeR$eq}iUnx8IUh?7Vh&mU%HfyISE`Lr-_lOrw5G z^<#HOV6#b4iHPkal@|(yFvP~O%V2DR9c+WOm5NhaDH~kiGGHela3vurVN@Zhh>&RW z{pZ~Cxc8phbNlXy*sxPt-R^t;`=9@P{_~$l&rO|Jn6sw_{myD<(64vxQ=JX_c%xZQ z-8yDj)@xsV!z=9@ue<)?U-_bC9m5U!`!+t)-vRu4_-z+jP5WHE+iP^%N5`F1dfcwJ ztDRb-y>)ba(BC{#9Dmy*V-LS^c6ny)v6VTyUfF8a?eb&gwYf!moPA5x`n7Su@JA9? zA>{%a?$^4N^FW7By?VcoPd)X~t~AeAc6;aQ)qbbD*=hHWj<-AGlNtc<^&8bnvtPe} zhl3sVa8kpx)os-5TBFymw5#=_RpDsU1_0}wa(7C zUF|eGxS1WdHyh0+dwQd-TadPqu;qQ&@GVGNaTMALK5Y0F zq-`W@0MkWI(|ONnVTq2{ZT%$lSSf=EQ6D?Mbk(WT#Y_Wau`en{4b4KIta?V zf+&F~8A|0OtB_&0Q8kf?c1KWiR{2Q?r=oL2oYGg$g>Wi&9uX(>z!T2dE(TmZsUKf?1*ddniH!{Aub2U#TP>9f*b7VDMXpt}zwN|%}KR0l|Ll<_2$q4b*7 z`ewb0f`cLIjHZ^H^qSg*O)0}Y=|jK>CrdfyF2r$sZ00U1r#xkEbTK;Qob;0ZiKW?f z{F@4s2nidV+03x?k&O^W>C2;GlxBS%^_wtxQOY%vSCYD2?9>Lj)4>;q`-mBz)bCQg zuL6RKFr&z>B(*y|==C&jlY$$KSE<|;J*iGeo78K0x3$sHf*&3v5uA*DF|uEXet7Yz zByA*4rn)ye8TjVgn`qlBDq~Roj`H|xjAWR!H59IyBLZ{`pm;6om+EM zanjO?S1SGL_PSnOq%sJn6N)y*fheTXn$PsAovtEtPccRzl=j?Ttn5gx6Qh$BO%^E8 zIeY3Qiacr2G|uiTjEM=9Mok0l{Xhsxc>XfeHI2TaqDvIR(y;r}D4cI;0V^@fvN!Kf zuPjKNBt@qxjvM=ePP)nBeh?@9qhD?`pSXHvrMYk?o?c#)I3d!p99NpqdYqzE zjGjdmrPlYUD&@E`?5xMCOU0m4tNcvW-aWYb(twkZM!B(17_-uX*x+-$S>LL+Rmpiz zZ;S}yYJhUGUO^ygU%joXLCX5Z-lLQl>M6-yVFuH>ok3T|wS-)|Dv83!jh0F#5S+ZE z3~AN~*^aAHD16j0!VnT-lqTe+T^%FBQ$ma~u(|1sjuEDn5Ti6oH=Wfns?pS_a+E>M zP3LrsDlHooqYP?pI5!VuZ?H9G|}=MyTAy@wrQ4gvwhSpSL7N zsGP-#aF!9x29$Wd;`n@pQcKTSA}|{BM^~k!_^2DUqkLsFgvwJKpQq4lY!V99EUxSN zJcUxBaG+u9B5@Gl#M7Ri`AX>O5QTRlALyzC@W&DJr!qFV13xf|f0+q7E&hG4?BF z@5@QOR68Bt97Aj|PRg}y8=XqGhBa-k2vZeMVYj>GYG`Q?Ipbli1QMYmMU zrt_ryUEIO*^Nm`6n|)&F0F`FtcZnnL)-ZGgnoq+g5+IfD5~W19M{qoR9-}5CLwKb1 zi}nSq_ba9fnL?rD2?jDqWbu-n0E#?@?Y{E;&=GY?M^ zGRR)wbW^25x|k`Dq>qjlQu%^gN|)I8;Ry3spt&;bh7e{_nM@%sNp!FRGV3_G_$VC% z%52dsWU_)v2l~qvg&g9LnKs~bidh($z?lP?*^E;pLBzqBF<^8{ZZVy4nAMVU3i(_n zHo~j{VYcWv1x^!`lTPK*+4Km?$w`#bOPo@wP%6N8$={%xLb{Oiguq-col56u85Wtf z|FQ6ultW{DVPB5l34uPBrAa1+o@_BEWbC2Oqzm+z(EA?8MemkqU7d$MgM3`09<7($ zyh5)%j*H%VpM>M2wD&#gb9vS}r(S!!ALxVB7u}*@ZWwyu$ap>LW(p1u1~3;Vm%`v8 z>)8*t>2#`;q4^1+J{ca|0^E6eYE4>oJ-|P#k}T*nUtF^l>`V;?gNR{3Rcp_h-T2SOOThb8J!Yp zrjSBJ77WMY#!b0Jq!=s)7g7cIKB*&}aw%D4k)7X_$k+oFa%k0r^jTOr0v*SeOQk(z zNRW_1aBTeUFk~WDWneVOe!Tv-K%K$RTm~5+3ssA&Lz0Ee=a(f+$V-Og2+=5GB3PPo7^IlFtM& zni3ipDVJLm84}WuTybbZ6U1rAXwaphKvnRNl5Z7Lj^pS7i8>J^Ivxlay$?j1mM^n$ z1DQ?AK?W*t@Mf|oCTo!*okF53L3lG7NX%xkZb9PU%@klSzGey~SAy_nG>}-$K=K-n zh-RFeqU2?4MyG_DDWx(<8a;+%aYIQ%sU*@u1e8oxPipZP(O0B}zQ=LV!|6rN>>!rY z=#-^EUIO40OCo3XJ&uJQ5fG(sg+7}th`dka7cgEgvN~WzK8}T+dVBspsoD2FLyy!Z z!&)cV`yTHLdf7ThU&`eKbHmV!hz{AHcXB;x^Q1O|+;M19tAa*kR!cI^IjEG&ymrr# zw89!3O6z@DI`8B-PDmRAwhT=*dTK0Uti_)}7UJ13Dd>nZj_8IM;$oH-{|NiYAuzKj z%@FfvaS`Y81@C3D4;VKMpghE-jH?ifXR#2&>eS0&#BND6N@n3Tx*v#DqYQBgrH!b> zmIruYX~=^plYxmL^(-Ng5k&xan;I`EBf!|^f$(67dK!-9h?gaaaTi7iKq`_T7=<=S z>UZpItQ52R+jTVCd;;abdcFPN7`BmCu(^}J)qBw9v;Gq{pR1p+`Tib!XZvzO)Sz0# z2E$+}OSK5W6Z)wk#I&$<%dYfo+umyQw+9=kYNv&wQL}QcajsQ)0=rZvEBzz=N~<9o z-03uTw>oV(fKYt8w27Ct;npv(0#+8OBUB<1fGmm$B|dhdq%ax~xjtUv6`%lQCRIYd zE^~_bkb%Z0JuW~;Vt$GUwIGw&3s4zg9Jy&BljcRS03`UyBX5;y*9f42=J$gmDzz1J zSvK4Y5E@_!kSJJ$IS=wP0-UeqMBGsE5q~1eBZWovE2NwNl#4Xt4eU(T;R2lo4XV z)b4@RGW z2ID~uX%&fO0fl1`p+uI`YojkV%7}m!MHz7H7GBLCs7dmB##;h zD=z_K4s(YYmrpbV8>cv;2Cg*g2XhY77+*wbR8ur}z)TPXB!!ub8+33b;SV4Y#m|yS zltnBN%41|E8OP)z2v?C(_3#D>AyNyO>u@>5X`jjwR&rcGNti)?fEfrexW)7*7FT3V zMUrAFlSOx^ObB_G>t+nbRz!U{rhG6Pl=f+x#<5prXhjTOR4<0Pwk%aSgI4CE6V&vA zY8H&1SBQh48Cv2al#PmH!Oe$!hO~!CL!oDM6UaoA$0pGW#GNVdG7#&JkwZHu{hBPI zkjFxL**IJs>lboS_PDonpuS9A)NH-*jL9%!j%1UBnF68>O73Fdh~y$&ESgQn#eAV= z-dM1ZMgkemrcCm|s*i9ygMnj&=~FgzrTJ?ThKfkO5W~EHmd8Xu+Ds&tHDFRz7zVs6 zpUIfL3q>Wh;tfNjLLLcSz;MBIA*+SQ91W}#(@8i%$r|%gLNobP-gS6Z?)L*scA{ma zm^@Yy;ww{W5Hgl%U=q2s78~;^49%2DBx42Hx;HyQWwjh4t(ibrqY7lG)ni`79F#M~ zbXNF2YLhM|I)XZZedXY7QpYZ4jxj(HhM0sA*b^4R$Zi8m64>&`uoJ*c;QM;v52kI5 zV`M4FK!wF-;eZ8GItn!uk@m|dkIX8a#u}N#uAirrS$6$c*a=?@$q;^lR@G<_tAAWf zz#81%BpL&{0)}8JP0!F;U~e)%X$V6i0$UP(0(ZcgA5({cS_wQ{2@vLis*=GBk=9|< zBq9TXF41U&!*nNtpfE#>(h_kmzO-|6@H zkZ{n6%ML>!MEXcZxeORe(||*WC<#9ZJV=X#&wxm*w=midRX2-T#|F@JDV+`Ut71!$E0CkCI)38lOPe7v-&(D5;thom|L3U!#hR4vB7T;iIv}g zpA2?kB@N5yUMNJt@0gjPcAIld{$Ic>F&4-uufYw>&qaaKsx@J{2z#hqn@qQW;!{bD zod5`%2=zvY3<%h2OoAYVg$pX|DOUg_JVr}Zk!9tuRwtZt6fq=M81pFv3Z7p3g>4wz zVdyK|z}Ij-jUXcB2yJ3~WbjrBb8t^79GEBOgxL3B)2L4Bc8g2`r8=`13u1bhDG{@e zsM4DtX~|t)#Yg~Vv5+b#9;{gaeM;2;LoZ|Mtg%cCJ(9*ZK=Yb&DG6cV2Ssac2uQes zAw$9V3)7lBcM=8Lo}jV@L?w`!jP4stz}W}+-Zq1_CMr3~0c zB9#PC7t5H!eF;T+^jVBFMk^q(Qp!jx)tfQ3ro;-^oLGbE*F9*1OFD{x4ERJ1G3*-z zna5~V85C{(fiat4L2M5DPIR=G{LLDbfKHJ#E=2&eq-XjbpcR76p(Z0EJ3*$Aeuun{ zw1rO0XDu)vV+^4YE`+086j%|NOg2EZ2e`eo0fKZ){IjY8Efo;ff-D(^X3COLMa~se z)dFgEF;!sj(jvt+)vFO4)E4Hw2zFrZI+ZSjW?~5GWFDhZjmV-{pX--AjXc%jP!t-_ zOJlTUGCoRCv9w(xMW2ChAzcCt)GMK*w?g%`n1DjW2?N2*wMJ3ZhYOoUlZT;8qkxg1@??-q9%E}2639Rx zY~nwt6i}mPb!9BKL8L_JF+?zIu0ndDbc;ga z07%%plppgqlea^DC`GBD3_z^(4yz0fBgtc%Eh1Y*{vfa_)mn zpaP>zfR?_6>v(z8Bx$3k&@G@MCPa|mI()?kSv>lNtYP^FtysX;Ac+ngW!hX!AV}1v zM7uB*r1PN=fKa0)MKC-po;Le7YV~Rk8kP+6iV$&z)^G_Af@2__8N&*;kzvQGXY(i+ zrNKf(5?-!tlnJIT9px#wY?&&qXL?8VZRJ;aH+X85x7Ou;x&8Qo)`w zv7*aO*N+VhW4NU8L6BG?V{j5!v5Tn=#SO+HSYBt2lvJi`%GN5D^n~Cd}Cy2t@}iW{z3ww2mxiO0B6Ucl0?d6j3nEX70nVKT2DbgIP#AD!|x% zVz8(xP*kwX^HE_?@-&iD281+#W;U26lU0f`$T>v_!=8Ywi*wk5ZDP=@l~w_T6oUum zAY{0}k!DsGghQb~w_8{Vl4PZpB&?7c5S_eWN81~)z0{-?s{UZmF5?(LORy4yTZd!= z?HK|OCe^VqR|`Cdv@~ZZB5g8mXeF9*8&nhWm@Xy{_RA8*IgG3|UqqoA-9{KJrgYHV z(UwwWVi*J<3MivZ!VT4di+R}zVml&=QOE>ITS0cvTfmp4Nz$l~GC>1mSV9;V8ImT- zS`oE%oN#ro=Ss#7M6QKXOsS*mGT9oHwF}zp4z>wFt){RNUqo5#7sMop(h%ljXcEt0 zZep_!=3s(_R@fkFF}ez30wQaL%NtT2W`USAQF-AApk!9c zSQ9w_<4Ddb50gC=>2%FUaL$D31I%H%QN%?pNRZxrCAzq95e-uYz%v8Siig4J6=c*6 zFhdsM8H4AF_y zh|!tqj|i=y;z>~ya@HIehmsi^51Ts8?ME~XB2~{$GY=V_XpoE&nI&~eNZ(`zg6Nw^ z)@_WnD32Ar@jn7zJUCjTDres{qhxZ_tT#R!wm^kJ6#RLO|7=Ib8KiI4vFcBw29%dn=?bG5%u_QSVbV!aRahM5ZmZQL8ekmPv|h%5H6*DZY$Ey7@)}I$sIC@k0&wmgPs;*Mhh;_B3ZbS&=!DGJuo$(V zCSu@=q=i`%Px>*zhP2FTeA=Rh_@M0k6Ju?OWe-@#E7FJfkaQdlW_2Uv8IXMt_J^R+ z00pSuptm!6Nyz?2fkhpMPB$^sN0|3zG<}T#N~$FT48=UZO~nR7y%{OHv9k+V{wT0I zm6}c`#nHUY#zwc&ZPeLM(zDOI-ht1pMz!177&Mx-dY4Z3sClOs(6;;L zt^PywX@fp6@M#X7st@S?Bzs`bRkm=p$?;C7zte5B`}P8FhCy&7V`KDXhtNo{p1s-W z;s?4~EgU$4%TA|_Ulr`xgI>L6H`+FSEyCXEcHWJjuj$#9c8$K&2KbV)?X~Sj&&Gf3 zoE7{Al+C%^#SI*Ia=uPTfTLgUwkyr%?gV?k(Wh6s^{qj((rxbAgPj_Fo2kao)$Mlu zJbp69M%qe0-fUMZZM)JuU)k;18+A~MGnnZt6)BDL=g+4iB?6T5oAz#JfD^RwUZ1EH z6oRtNL36_1Y2ud|fw^99+M75f#>Uw(JA-a@o9^~HtvcRnZ{bm=#YE9*El$F zl)b{=t~7fcyWQ#2i8G)MyxQCJgOqj!N56D-`i)kDq)CDXLj2^XU8CQ>v^N_4-W!>I zm`=EQwlk!k<&9=ztAbW`?MEx!HfZ2_WDk`ZAKh+1=MX8hvVpU0cI~YW+C7hxX7Kx` zcCWIFH*v7dHh$TWQPb|&TZ2ls0;K?skxSa!6jsx-L1eGGU2j$TptHw+tBwr8Cq80q zvspP0CVO11&^DcB12GUS#4u28Lh}7KU>NV7L^uY(g_qPM(R^I^4<$ zMZMeYbfFhAf~{?{kyr6cFqLW_G9rhPe&hurL>3Z4v(5mXXm`%rl?}2em>O(d_&2#H z_g^Lk;areer(1(+o4XO_z0j!Q=h^E*X*U`HG5%xaefV8Ys(Y%+DYIKy=E^T zw-@JDXHEjk)b#wq{Muuzz2oz1OVrkJG&W_gOs%fX&zxSETD4bBudXbY=WHT(cD_8b zFg3q8H%oDG2~FB_XXcjH?DEN}g$3T?Qh9BD?ey9lQ9ZM~w6;1A;+9v-_VgUco0?vj z<86Sy+4 zW&16fFpScGm}gF}&MgwP5JCC$w4n3E^71T`ad~d_%>2w;`5}8@nWTQ)K3$%hKoe_I ztYx5pl<*jwO`k5$GkMJ~t<9~jo?cm-UtW5veRBCx2n38z;Z;)Nti8O%bO~)NuRcad zNeE2t6ZWGg=Wrj|UE-peB59N%vKdmP1Vej};F`vyy)<`XVgAJ2(hQUHGGTdizC8C< zsA(RQogkECLXS?NO&AB-C3^r>{G(=s5HNIT&mXs^X3qczNany$dwJe75!@=Do;m5s zJH>jBNoLRwPwLqQ4(h5@pQzw>2$6<(AH5`HarrcKwshj;^1|FY%FXN0DQuPUm1?6~ zMIVIY^yx5u_Wy3B))@5I`XS`}@)$a-9Kd<2ucATi%?w{5pWw|bKR6=E zoBYQSlDx^+yd`;)FQC||)I24u+)HO4YI0^-1nusFSZfU=V92zvFp5oiimACH*aAz3 zT^=3B33>&24j=BaAA<9=fnWD{#GO!cag(0G9?Pb?XbKyUvf~kG_O-Hh8STH+xn+zKz;|ys5AHBOCde4yk zNZ59TFcR-v%$P_-^FdO)_Typ^jRqJJr)$PVBLomcBjgoNFz|1z_YF%1_cJ&YE3SM6 zbbuIvJc^cKG0ru`I83H_#CpMu#W?RST1gh;=w}GPYSOsEV_YI6(seM~j_NTZVt;(m zlga-2;t}jNpr6AEdI0XTRVFcQS3n1>MIeu1Ey8dpO`7z^JoqR^Km2#RI@{-uG&@@z zN|7Sds^#*@N7wUbom_eyBY?THYXmJjRiO)F7*t~kF5)ieV~0x9skoe0mK(`_6{`^; zBN6R_KPN+{UDKPuh`_<#(|~ZlD60 zC8rB@!1&0#H#ueOO->mtOZ5=)ZYCb1n9jlC2rfAGJ2v>t5OQSDh)ZIRiA><(FZu9b zYIt-!{3XsHHo$lsdRcQ!nlK$CLNUA-^Y&pKU>i105{S*E&>nOk-sB{5!IM_8h967y z<74#R1V-^DVMOpNiJ!{A_6+O|ii?ppMT!0$YmBjQUU(RO4a@6*a9{$mw0Kg4$MW|W zh4dcLhXqR5g~Bam6m0Un05%+(B(ZUt<55T3=qVKU~Ht}ANK4F`2@#X2fa$?x?2Es?Xhv-)@i9RfTAHn0~n?NYu3}YN67Wgr$ zfPmd7jB-XIwhG5~5~u{LR5{Ngrg9;YITFj*wlG2{RVoqh1y!Q`&|Ye2@1t9b7vhDQ zJ-SBddgPl>1t?>05@jO6WsLG3E}pH37a2a)HPQ)vMb9}zCmau9RQEj+MjO@VbNCs; zBRGl-`*N^dfma1&l0fIlGR-o&*v5EJB*y8buU)1)g!mL?Qz#3I@;ypiYo}~ksXPDBUgV{s0 z1!|a~ZaI#H2}-`jeKYo;80DLwRlLa@M#vZBiu-1aK~YL~2x1ia-xFhqM)76!j$!i@~m7PsgDzsCcZ!b2UM4^J{Q)CU2LSLjVn4xc=_ zYBYStMI$E}SCqFiVbW9_m`a8PdH@!Tf3Hqclo#u0Mj=L&uCeO?hl((B@ytSOJfy;; zNR51RKHd+7!GjI6KLRft0-NGFVvkW1Vz8hllLCl+vsC^GAv!$C8zBHWDvOW=+#0*< z#b^q$z|IfJffva9SU@9(r*I}-@cJx{pN9(GfF}zxCX5xt^sOJ0=fgB+fqUH!T9x)P&9+Y_# z5qyPdrH@sH8-qSu$$_7j)4rXGYftFhJY4rooKM@XaF zU&k`V%FcQXA9vR`Iv6hYQsekcD{mbdGWt^Q1BX9t6D0f)`inOv(y zo2)S(&OeA7FW1%Akb%Vc9!Bi_x}*`aZXgVKBO}jc|93d8`|%}ALG95-w@&{g38b$G zxRpvR4%nGSWiK#bih&FuF>nDS22{D_YcXx+5>Ps>Xea5Zb4X=*lbJFxgg}R*^)ojVtsis#kv(+lX$2y?vA?IGFnI)1UdQO7dZ?6k2@1W|DTA0#MF$Ot+2 zPEP?ZZ*KPL3bP5kb&jIraWtm-$}k_Tb5v(<`N6_%x5#6{_{?#V{4hRjqiv$VWF{b@ zav?r2e1ND}!0sI-Dc9Hm^t!9G_k(u2NEL*I(Cb1720#<7fUR7J3){qA8SI2g}!m_H1Kw6T67oRm~jItyaj)YC9(?JIArLq@z+%6tqHc zNZzYYoai>Ryuu7KMXND~h?OBaj_oWGCTyk*W)(Zju=z^Cg;j+8BeUK@YK4u@hWc{t z%7r<$$3_C>I4)XGFGeaD0bza#b5zt#;>!-kR$f*=>kXsWUFFWucORn&pHOfDo5?<= zfY}EZ=6R0oWg4bk#3*XA-aW$yg_4^vvi=Ua!**pyX#$M3Yax}bbnBZKPo*&2^TO8s zkuWH-MTRzk2L-e}VX`q`P^#Gz56WYE!l0J4CkzTVd%|F5c25`wge!4}O{BUBdy`1y%6{c5)y<_&o7j^;h7hwkvyIU|Tv`i7A#1E| zE_eIe<$hfa1;T@nDvY|a>L!LdF`%Xgy#SPn`jdmLEd(hkc9R&U&ULi7ZlY+V)7;(i4do1a znZ_nuEs&U~VIa!5O@d?~%CsXvlwn7LD6@_PQAQmJqC!X{h>9SQAS!@Ff@tw08b*bW zXqXrR)`CYgo){w5LPssxFwi8Nvyr^|Ph>3-8{3wKtXXawMD z13Qoxu!mSLJA^E{)n;(y`U;~l_vV0Woqk0}$XP)xxJM;gEuT2fcCa3yOdS!CDz+lS z!2acagBFnO)_YVL)%o+sSiV%sfE-}~HQ}qq>~A?3yiGA89FI&;!FXiSx6dLXrO0xj zJ^3_92+Nx=NO-aiS4u2?&;EvBhZB0(we|w)9r8LNVBmEi47~2wQMjK9%huFSnV`b` zlnE-_Pnn?18Xv+s!cKs72qVC{czx2Bv)7xnF0D`Q=6&=s_|vo()7$ZJbiAdX;bEgY zrd_m0$D?-5irh$lj_FG_AL`BhV>S?zOVCiSRiWtu?!ev@BThMDu_Z_nz+V=UI3+ao zZlH;lF8B#K6@w1!BNcnBgfuw&m=QqFhPH5CBRa|`_R*-E*78(B6RsQwruXp6it5B8 zobj8_i!)0N^SBw`1Tir*>2Vb14VJT)jQ8e^D>)%=IPX{e-UCjE!fzDOc{cLMU2W!j z6vkrMv$pxyr!=|yjPC*-fiJfAk|~X*m%a4_IEsnZE5_Kr8SsZlt)0GCSDW0Lr93sf z5zEgYtv>-tFiSd~9rlgmOOB4OdgBtm`OnYjqQvnpa(S$3&s#+*8d)gg{Kw1@y32%t zBiuxL_=w;25)G2)8%%$BW_@W6$4k6jCNzUK9om7Z5?W#r#wMJAtxEEyzR7MlTht1j zm4d@Jz;S1DlSP}Lzb&pT$>v_xc8>p0nt=?DPpvM^EiB09UIrm*CMrHs=R!pzoFXJY zc_Hlt-)8Tf)q+0E_Dt>Q_$gTQP6hpfH&*52DYe?$x9gb>;coD$S6cjlACY#+jMU+v zF7{J-29A$danCfap2Iu&-b=KH#pl?~L{ZZB94EZVBVqxb#jgyRPD<2T0u*iBWbxKJ z{2NGv$AII!cy0eSTx%#J9!~O9w1i&`4HL>Yi?YRCw1C>rh{qjUQAB4r8lGdX38F1; z06*TuPqPd#VbmDHhNdwi2-ssFeCT5WVbO)b@PYRvH=Us6oSB&+4Vy4X%i)bgAXvJW znMmZ*5}}WLiV!*MaVC;BA)}$RtxU5hXk<=EkXvX3fh*H&naEHCL&du?%@`btfDcw8 zDTtPVV1e6iB!Xum6o=;-7rCVecyY2hq3I+6;9)!}G1+ITTBc7D52-9|LTW(-i_oxfGZ{0vs! z;BYEhNr&U2yd$7+&Xh+~3j7e4;$vPdd_sCfBEgu;>`_Epu&Z56R{IAEp{bvWP z_u=EkD_5=@#FZZ0f`6~@{^7#@=w8&{>(_sC?KSvE*F(T9EAxRD2=p{miY_NB`cP4C26z z0uTZ_;C<%T>-0a1?p@5>U|BEW!~cdT>EBNuuIJR>8xFMonlVFd)Gs_nwS#=6C;8dB7&F~ z-v!L5SD+ZmT>7`c7)hG_ec|wwV3Mwf{EUs!J*G7dE&or95ut=f*P0+!Fh5J*TEQK z-OIw5K&xN()5nHIMru=q{C(D#&XD;0!(!~f9q8osKTH2|<;sD-`yan|<$=O?uUtIc zw~lSS$2t)ByCd-ZNB!%M8^1s5`n<;9H3?jkz%>b6lfX3zT$8{x30#xFH3?jkz%>b6 zlYm(QqCoLudku@lvJU^&tz%CexqR^KeU}efdjzBZy?8Ogc8GTJ9ilzxJ4E}QzEfh&9D3{JgXGUq z?O#Ism%jPT*x|FBenFdz9ccgJb#30tj4BJ@|uoP?dh%?kKi$~9_#DYEc zsyA7eo}IL;=WntumgKyZb@(yJy!~qjX`=MfhYz68{JukPf%1M4_!(6D2J6`u&R7<% zH+*%)dg;Z3@=Et_|I9)5eD$-}ThCoSV?F-|udwcZ@{IN6Z(V0?-EhWw_CwcMhrV>0 zU0?m}pR_*u&tGAE^xf~Y-t&t$T7UAdAGNHlTdZS$aK?K7{jX%-*R!u;&mZ_Nud&|K zf82WZYlkhXSheW;`+u-y(ew3#P3r@{eV29d`j&MLIO$3_=|15kIKoNq(Rac_&sn?p z%-Y2>)-GV_JH1QKfBx%D>(@T@lkEE5L#M0{Tza>4<=dyMbFbcJ*OiaftsnfwymkHW zSFKMSIB8kGvtfPUXXn`U+&8D${go${tYJK^& zo7PtGsP(ahy7j?-@vyc1bj^DFFCVg=zOH6X*biCX`ukPuuA>iH_Z+KQpFCBvjvcOA zFDw<=)fy{W-%mF%$H}iR{gVQ_FRr(*6xe&KYk7v}`AUxA{qoObSvw#5jSOpd`~SF% zj;A+WM%TCgyJM}suw~uzOOAE>^V`<3_d3=~Xh&SXk9MqIZdl?<_h0yL{P`#U^Sjx5 zcYW;%i||Z*u4xfolH)&qAHTl0d6>&_;U~BpabKK>f`)}fM{MaEb$6vpZ%kk4Ua5=)3nH;?< z*)+*nT*;n%&u4d(=FDyuU~J`^#f1cX#L>1o2^g1 zbQAkWpT~a>JrQi2?p+T)=-vwWV()=Z_8$0V??Da(_XEgU#vlBF@dy84{J~!sfAAms!9!N( z7fxAsK}X-Zbjq55&YsS`-Fh54-2V4(w>}7+e(Yx!tS#vH%L|Lv-O%~-lS|ffkKbag zjxSr!{+(N_+uyLlt}kt@ST{hI;%XsI(Q|Qq;q~ue?|t&{D#LTv&1Hsn;xEgroyR}7 z#@hYh&S^#m#Vw+X;@Br)I~3PmfbEDl$gUKCy}v_8-hW8MPYdz$Bs%|V@v~`Ni=RA> zinuAR*W%}O*0uPFIR9fDKd}~${XKhp!unn0rKgaeitE3{ce*dGpZ}MR^+)$iSg$F$ z)}Ma&0qg#sbFC{MpRiV^Gwgcgmx|WJ(Fx1CwZy)EX~3_a{K_L%>z)U!w>^H$y7T6H z*!AN3@3!bZT?wxIox9n4^qug~mGJ)RgKuE%e0uB+tldk0akoWu(3R-QfnISXy6L{S z{+q8HvFN?+<3|{t)8Ct5c#nWahX2ps%;>qa_9jLjT^T>PPgjB?KIuK;oA8i42(OUW z>pc0r?^jPTKIlq(eP?{0@%fK#JIb!sQxCEGbR~Yj{K7-lm0K{@!FS@9uEa0hzxXw* z<0SYUe*MyIN7#Mqmmyn%Cm9nw=p_6^H?>DsYM<`YmEb;c>=bgw3HF`vF#3R(?o&Gq z9_=!C&_VZ!F8WS%M*SVe80k6tBW&#GgZbM3xN_`u``t09l zZnrG)@Ao+hy>`R!Z6R+UcmYRO1MH=nJ~DRc@Qiir=iV9`Q(gZ0Gh;N~GCkYApTB1s zi&-fT=l60UoPT`hM>tNyvlnmmaK8EB(0jxa8~a5(qv!Tlo(ap79t8dtcRSXxU+A#A zkdk%BSDzU>#8#uC4e!2qY@(Q|&GLc)d|e z+&N)c)?43n^Xu(fZn){u!~emuj^PIV74cd8J^J2@&4zux*6G&Ut(i$Dk({(^txCIE zZ|%%X_Iuk$^ONs=Y~s;(EUeCNJib=6Yvr9r%`QD&+9)pDlk8if+N(|ihChehNaeCn!?cDZq(yx%=vtMuBP?RKj-GudiSPHOILFz`r2A(u*_!guMx8l!WpWi7QgIB;9N1)H|7LLI$M#Co^W3JunwVP5MGN9mtBrisQa-?spm8)He z4tg0Y-Z|1a&eY2XfKGbH=~jn&%&Mdif*6ZJS9-`oy;*BfM=EiWdd5R4-K4kHC|7C{ zBcvNfsKS;VMZePw^v^{KBS2;a7Re@p`M?-IcB^1I~y7RBjT5a6}O*CpiHVl=Ml4 z(cmZyD<3hV8&Q7BLpEyNUYI()e~O`AYPCJ|D3U-(&5P8dQJ7W^5=03^ zJ<~2XmanU(+Ncz<3JQrY0YQ4m3BvwIaG{M2&FyumdksR>#@;EizW+{=$xT? zi6T#0G>x-+3gg%WN~5NM_CX*7B|Lwb@0dnkQPCxeVQJXCITX%!w171>%d$7`&8;m- zos5f4RUEet1f6t~<%1wj`bV!+Z#;GF%t~|NPCU1|A#p;iWBITunXO}5Wz$!N8>$pz zhv~Rdh?~9cLGbNLIc{zp3_=xWXk6DUcdlJIt_(I?2ZvJzoXvwNNja`Gq0Kl&sTe(r zDoSl0P*uutW!Tw_RhNoErB?Zwtv=bm_R@fpl1908Kp4}~g4p15vr*frwN%M@s5eFg zaWz0WSt}zDwXWUP)gWbaYxpQ7hI$IJSD44NZoA)+acxYlU6n-P<5p8869`UTQie2Z zglxxEDHJ~H7-0xwVw5K2CS4sP!qb=-WngoYDIFtBYfOyNDBWaQ$EZeAqsmbRH8+{j zF{-p|RE#pHxyh`KQ5nyu81W|0qugXp$B4)@rW|EVbCY=;qwKgTl^Mw%rO&v@f{syf zJsLulOSz8EWyVG*69L!pdCb@dmBTnbhZ!58@)yVFFJmKA?&A2|Wo(4XTO6OajEzt^ zixc52Bbp5;@qESc`3j|$p0h+?H0F=4N=fliH*81w%4i6cr#L=Oq1o7RC{(k!uIuv@ zN`1#ds655>c?zYzVyG4{RYltfjX7i!%~r@q&dD92QaO6^L^3s|9`Ws9n)LyKsP{fgQ9 zGEy&Vw$~6I8eUG%ZI=B&nh$gaiS0+E~rFp3Y1@c4mJ5(P=^k z*$bR*qL54GQ#q3K%w#T+&AEkSfqfs0FpCA6YjbW0VJeYI<+74Q2P+`cj+2Rx(lMY+ z=iOW?EvR&$zjR*6Ar6^I15PKOhLH)J8IYMyIe8L99E>RgMz`SRlPQN;Eh#6L&7@)@ zOdAlU^Ny3_G(kDZL?)R|j-Z^3L@B+*DI{`*9DJAj4Z6uCa~V$v%=MCqWQLYuky-m6 z3tve%G{zV9<@lWt=rd`WWMb&a7BfP|9{N-=M~?};?{Qr8Zh_X-dFWHf$2IEFdcn;q z^xEUN=)LzzI8H))-=jX0Wvz4SwZ{j6K1hAu%?suRp%;#f*VAq)=kQm;)2EZWiCB%4(zQ!ox5ahyC* zo>Boqx50EO11uDCC{8D`SsG50jKM`VU+|vcyLdK80y<(RpYlQvLtMz_(TIn*kj`jb zN`gO&hd2+z5@DBYBY|)PJM$1{vRYpyp2b59e~~W-5W9$+1<@#p#%uJN5ktnRQ6R=z z`xN z2`L1}#_tY7CSp|zMuY6f>wk0984S#2kO8t#wY)wcnJJ`FJa?gxnoOh$nSsen5T_}d zagok=NgE-BbtK(^*-Q|rDV;$;MQdINxp|QxA^phY2PQN@oQ8}BT^b5hIS(oMRzBf4jvkPx6G5WmfsoPrK%{B;G8;FL z*(4lfpaKVPCXHgU7AcYmB)SrWH=}{XY$omIBo5w84))?}CRcDJ2yaFMiPa1wui=Pj z#>ps3Uc+W|N~oDaB88;UV>lK!lr$6yA}vHfNu~9q7LO5qMOx^492Y&DUgXRUVmXaY zSqkJO08YLja%SJ-Sm+S}QTkTs)9IYZ`$T>L#@=kJr6eeW~$NNrNA zb&|dB@qwV1t#kB+Ohzy_2)&5tkPUh#*ON9+YE#G^2PU;DXhdeUB=d}eO1aEyhmNEb z*5FWD@5_=|C&O_<+8D5NhzlrfL?yO7 zzza)59z>Z8Obn@K0f~$#0>InUcu5%n#x@Uy2TRn`a4bi?EJ=*JFhT%Qkp#ggv_Vp@ zZSP{GnBCj0q1nb$C4O%#n9<@5FP&GJ*&r8-^i9qpByb=lxv zyRpC1Zpi_J;?t!~ytECsc99jZ(nuYl5|IF;QA{ZCu@fbQ(SXSH@e;291t3$20`hg4 zQ^bc1G(PQd0XoL!Cy!7IGKswal>x?)o90qUUK9&Jf}bq%R+)B<02*liAUL8@TRxL! z!@U5Z0hR!Xf<>6~AU`9(`C1-}8!A5HPegg7u&928loNn*QAk0L&T0h#p1};J`^grm zc0sWsHfTiKBt_(}5@Sp-#LR5YFDb#hBT9z;eNN4t;4&y^+5_yctIdcKF{X~uc=sIu&CawU+fFMz1jR~2CZV=jo(I=q6 zcu)gcMPivl;aEhdQ6Te9HXH1?Fj7PzPWDD5!J$B;xh$qC!px$8;-fA;B-t0nDMO?e z7Yb#3uUJCbJ%~UO=zF6B$q4=V2%v%H$KnW`St_YIOX$i?rII0o#UL@0Ocj)g#RsG* zm28>~DFR+ac9Fw~jCZSS?j;sr1dZfW>AZ|~Q4|KjFu9S$bZFRa3keL~^4?g8jgw;o z7F?7b0^CvzDJbe3WEC-hQf?-1Oc^N)DdZX1l$0>(#RMh!xhd7>zov#0Fffz`U=ER~ zrB-^356P+-UQy03bW&kHqq>*)kc9*WDJH8W^U3fBB=@**QPzn22#y$l%cLm6xE3U+kTJTxtQ;XYY4pK))JSPm;|GO)3(1_AHG_IE?oUz;$_wJ-CDVjTACI|wO!W@qqbZ`aX46-tjqXsH5b`e9O&N?WkNR><`Cv9E>C-liW3S23@)*3RUJP?>X{vGtt;|IysObgO zEEqkn5C=h1w8Td!8x_f%n+^F4X%CZzLeJr4xr>1#l8a1X)QcvXkew79)}Z@tT8VoG?PtaU597oem}ruCt6mD z$zl~DzA}{tA!CUKCXq>Mu`!##&`g=cc&s2>_hv_^td>EfH4_MHRDle&dd!QMgK{dL zObg#fZPLX=M^GoQuME6R>e$81F$O5Y5XWHz_JqYSvfIFt1h)J!>;y0q_`Y8FgJ~P% z7+DH3P+_rYIAG3{jzSH2r2R6=BeO~-u|{TW*UwVQEW3Uz?1V3dWC*`Nt75{&^}4nr`Nrl)8vus4}MZU{pn0$UP(0(ZcgA5({cS_wQ{2@vLis*=GBk=9|< zBq9TXF41U&!*nNtpfE#>(hp7CmmP*ei1a6~Xa0zym_e01kH<<5MIs@a9O5g2h{UKjjnT3;8pP?aC>@(3F?m1? z;UH2bk$6e9P&{)`ei++PD9z90g*{*hQ=q&q?DI&+a}c784m8+FVR$C`XT>whfG`{( z8!Qn*FUajEs6EQTl%4^JwUwGNj-wbDkAof!k%Of#h{lw5OS4r}!ePLv>LbAqrjJd1 zP*_4J9-HDe%n^%vmCJykBn>!(h{oXufd^@k@EH(^^%h3^q3UK{>(~IAEF{x`o;y4@m^K&j3w#+;ZQW=h2D zBdYW!NLq52RWTBPX)L4)iU(^JK%Y`Iz|hN>I%_NwLyx5K4bZISTuMS1_(9Q{8v+up zV8~D~{=&2-&s_vNdF&!KgmSnO7MH>wg!v*ZOQMUF&aK*~fP-95rI{$pP-r)UVkrZ* zkw_&0)WtHUa9=`^9(@)gjnN87tdug+O7&(;ttqhrHY3)c`gIT5;F69aAO${ALk#-{ zL1r;pRR%>{e_+feSP+}Rz7riSCV$gLC7@FzjY|;#E$NxQ2WW+0GpNbP$WD++q~9U0 zBWqPa{vYI245j z^wJn@nT(H8R4i?mNYQ8DTS%7x1NBPi=&cYwP3}aHn6i)vQR0TYOf?gNL^h!;X%HwX zm2!wcCQ!g4(ty38lb|$D8YZ9+al$|_bFEQS_2I&1(PUxh(kNggs5}`Ylf~Ftg#E;<_O)1{OncM=tDO5F z6R5x_6QHGU;W}OxHA&j&DRc{{hzSwow+>(NK^Bj`A#GUxK`R!pHAtdEN0~Gi69^Ku zDbX%W1?hY!1R&ICNf8VWi>J-Ljat2$gN7x;ydp%LqBUH?gWwp5XU4FCZDiQ7>e)OB z23d@C4HTxZmrnUDc4kDF9y%1Q+k)JI+|ajEl`5?*N5FTmYy?E+jXu)r-YA+OTOfKv zW`Mk1IEnTA3lL^zfxQAWn#Evz|Iom8-= zOf2tm)AeHm!x%1Ud=Mm-$QYaiR_tP`Lve$#2$t8GBPEq784fWP!J^9u(+NUiPRs1f zIQvuXGDO5goe6Wc213z6iKjmG~k`W4|CKL6n9tA48LP z26GdeeJ~fB$5tL%D+gnz&2?h84}`7nUJ?6)*;xi>Y^=mlTW{m<5<$O&@())pHHx(* z*h{M1TMaM}kD*$eZNkS5u>p|;;7_uwA;fweBvDG)qd=x9i8q)Ugyv@m%bt9o;}{WR zU5p964vz-2VN1uV9n?3q!4P_86xwNs74iyNuY^fe%{myYaWw{qEePSGY=`h|Or9Ae zWs2u^6LSi@* z#0nxLCux=WvdU##$jIi=d;8P80bChiBWQ&Uq86j8ASNKPR=B(&-{pry`xM`3TOLFnxd-OgDw)ibBqs1LIIKW8+~{r@8%zra`3Y*=gn>#S;yZ(O70lT@unanSmhs zW|4IpV=c;Kd2jrWz!wjW)~L$acg-l795w5W4~H#K;hiF&N2d-OzhXdPHz|rXrhYXj zl>p?}lGf%ZkjG%m6U+#~2l5@I<9JXgP9WZ!I!IzfYcv2j79X_m7oDCoLn0A5t109{ z$yq8-l26411BDoW8LU6ptRHYJPKZ3rLP*=E#gAf1av> zLP~(+aeEZ}rlR)nn(YFdO&$czn( zQ44A!2EIsIm^JaF9}{dq%dEzyEoz7l%FaJA)|OcIfOWhgeTWZ9$KhaBH$t8P*#}{N z3K|Vifcg!3JENC`>~9oU)N$x^6GMH3d0$G?*9f4bS~9>;%=6n+Y%tWDk+K^*yO8CN z0;^N0>2z8g&D*GNb;_N3jr}A&`@HWR_}r{lI_<4~y-}@o=yZ>&cX}~?yZEtpHF}Ti zy6@WQJwl(h=mP_vz!6;b+AaL5VAt+8X*CWUaiwAHyZm>?EQL=Ug^|!`i*j@v2XYHs`zcDDnnPZ zTeS=L$ru}HEB$!0T`9Nha^pgIziV&RKq<~(rn6L}G%j4YkcgBBP%duU`|UnX(8hZ` zqE=7{%C`HBDSNMhUuFd6TCHJk%c&oL8N9`sPMZ1MVoAIQF zQ(Y4F8h^Xo=(g=vyGJL^fI9GM@6r!a+GQO5(%$RUn{|>V2^t9Tlb?2#e*eT&>VHon`|u5G}+oP;EouLA)_ei*CvE16X+e9S^ zf3MwzY&UjmP=WLtMm^p-kAr=BY6ikGlQ9!G8D)n-M1)WyAbyT~>u#=N8vbWofM*9L;B7{<{lX-L>*4&al zG6&r_xmdDGtH(Fa&aM}2d|q2$J+rt_T(BQ_V77!C4?JMct}Ni+<96}f+Iq26vRBvb zMf}>?B7pGL`s~WaVzD%3FRsilonBa6IWc9=o!+olRyXXW#pT5ffNiW!*&8Q;KlGZt zdfZ+vuFszYmf5+*rNxcMS$oG9H&&>v<7jNwUYlLtSe!q-G`nuEonBvCEfsAdcVV$K zzcjnJTwI{IxPm6_;+f*ghFv;2yR^hxTq$iVZk*mI64mpoD;w*JAZ~TNWX}~r-t62` zk+%W<78ciw^Bcs7`ZNz&fU>12yR=rEU!)Jkb49Q*yZ-o;hqF|C|7if>p}jD>JbR*8 zvfr%TG(6C1Ov zWuSnR@EDxUoh~ghc`dGN6xY{JuWc-@t~_X;Ts;ecfbm(pN=jU?S67%Wp^eq`#|bG3 zfysT!K6|o=`_S$R7u76DqXd!7lPV<`+Jgi)G$!qp;)$ij6UCKzCg)Yca(1y)d=P3{ z1Z5`(C7ICKS+oh`K)YlQpo)Lgj1U5b4(-L`_UytL-~h=S7-}yqdM1KfrPK2#J$WZs z?=j5``q61U+rU9x<;qiK{0d6O@o*eh2(C9K^~XCG>EW?2O7?t@sXbtGWOw6HLWO?ir`xue(uONU*~ zOyUH+oIHmQci9iYdD_6Qdpzb&skyjmPyHc7aLy%jo9nO!rh9py;!(`3;paTO)5lZL zI-PhZ?$Sq`A0pundUVOk!uc%oRvDr77|7H9wAw~75&@Giu4 zlplD^O>+z^bbKR|9y^YiiGK_%pwghaQMe(YvaOszH8wMtjRlfxlnCqzNXAl*G)vkh z?kSf}Kg;8kM{%^H&oZfMfc&f8MmT|yQf|C5yIhoKC29zn1wvDpAD|fsfeu4mNKAws z&@Ry7$VozXW_Ibc!q>q-r;N;n|Bwo%_UShr$*y6+?A&cKY?O>sqy>ER?t17wL-r$K z+ZDn{ymK*QA`#68N%7i`i$ydVU`U*<85fNZKoE_PS3JSMzp>soEE(KS;ZUr&@)ghl zVg&LiS_Z{9*A(M0ndTAe1v3`oyt`;+yckD6LjYFCjVnCHjfF(I4rbd?J!V84j4ygJ zIapsjirohEb67zSzT@b^d8cT2ycR?RJRGLo3<+QTgNcO8(jR+Zu zXczoB89MEn-ULPj4)!L;$ZU||!>Kudh>MTC$FTAD2%E?)7=3Y3$(INj=aY5=<;W~K zU8n=bN9MiBDPwPP%4k`thmdzO@fgK)4i-mn!Li@5!Doh$BZEd<5_?Q!0uO)5hX+%` zqvPQ(aR#vg#^cb-nq$(0=^zn`;k}r*59jD_>U!|-cZUI&B&6Og6FlOjBpzsD$~ z_lQ0$P{J-0ZYiT+lkWwv;n*aJjnf>DI@(50sStU;kQ32IdkN{+kw@2vd^4aC&L!a! znvX}J_a3l`_k#2Z+l-4ZOXrmn!=5(~KH5D*zk*5hVe$J29w*-fLh)u8<0!Gfk5L5# z>_%afGZL{?IJT2OC0M1(c@{C13z5u`SjM)65kjd_iFhxl677ff5(9f5-CDd5FVyVO zHA2@T--Iea8GDl`6A3P3l=pD)Y(>1t@TsnmPUy>f&LKMCcnG7q?~yRts6L;^&k!EP zQDoScgY62uDj<^tI!~5qmeI`?3y~PmVPRdfuyO337!#jbM`>Iv5(P%Qn!fVkZS}1HlP9l|(4UZbcc2u?^q% z`vZYwN3}t_4>Oq2^EU#~^VkVSDBeRr+-9(KSO&l$9f;mW4y&_L0Y+fuSVbp1mNT%mH}6Bgkjyrd9HN2m|wZP1UI@HWW#a}Z*rvHBXJ z6Rmh7BD;lh#K*yj?q085Y4h+GUQ6;idMJ2p;vD~#6TisqC5IumDcFUc;DSH_cNS$`0tdP*Bavzf(9U9ipcWN}R zXm9O{Nu+Y?SfyO;wzqqUDxZ2#(6K9_rPalGL}N%tFP&1;jHObOX8%_EJvL3qxY*%s zvB$w?r1@h2E(Vtv&tUO0{FR%g@M3veDip_FK-UqezJ?H44jBcZ)5rP4@z6Vd@+?0q)%uwfKMoYD8E7a#ET((T8w3(GE&3BB7-4OMQ<(GDno}Y z494qoLfDz;3p8xvE^$3BI`Lw#D;R3o0lw92mcU5!OI~G7%>E%OA3AdGHpS!?jg=V= zoKuND1Rs$FVpkWYdvKqA2a2+_Djsu5$HMwG6x>i~y}Fmv(DLTo&w!lX!z zd~-hD4~4;l4YNN2FB}4!;yGfEQ4?aYpeB<7h<&qE{s|#EJjoj&068j)kObTsyX(bh z3bMe?56OWS$oyD9BZsGOCSLIRERLUt3f_Px3o|B+6~y$dACu?9G-iQ&562|ngCvOt z;^NUH&>87gs(y8u%j%aU`Btcm(+nj}MPvHxiE+iA4{}yom_D z!nEAOD#NXQkFDgu&&z4w&SMoSR{vFN+iX( znPPcwvx<-Vn_F!R7rTk`PGD$;Ake~!#~svAYAv|D7^p4KTlPF*u=(dX!eB43rtEcU zi-7s!TDE3pi;XL_kIPuh$5Msq5~g^tfb3yAGo5MH8vz)xV1X})Y}LEF7?;utQQL6= z9UK(yVSQ%PTAeA;$jyg_FhfWh*>ufhP;uH=d%AhoYsT*5~iSfw%)1HKS=`VD*|q< zT#W;Erd}Qf223%K0VD=4fW&|*HGM56&0GRX#}y3)K*?hS6sN0%Wk^fqea#N@#->{g zsEd~tTRL*F=t;Riv&s#7p-v?tEY6gKI1!XA(CX@;P-wN(Yb(DpBkP{6S9`k>nb}&p zffmWD!#34jrltP6yqWtO0OwFWBE_uJ!WY>#YPuoV&UqNkhRy|6shFV_TP;m1v~I)< zH`ng<+D)xhBOs_lm1{Ucrr;P23)#d%DuCm#)IgLD$jEFroyKzcVgS-L8U-L3PJi=* zvHD>L;|*wFFya940L&qkWld(v#1H}OOf?EN@gnZLb^9n-S)Cz0*aq<#qgGd83Y{*l4$~PXtkM2_GaVPRIy3_g+^4 zuWoO5YYMXoym_9Y<8d^m`pPgL&GS@eZ~DQ)Z8ynd!uZT_lKe0}Y`tZoz+@&MqI@wv zFnoZhSi3}ziW%dq)M!G%?X{UZzBLTZJL&xZPP?efJU z+hZevG8`AJrxzm?jDRq|ggGkeCh=ttV=FJKU+{)e?5=WW=(~qegik1DgPR3nA6S^> zBHPL|NVy16)MBl3hK~s)Ght-CJ@SU#@}5!z_-a){8e8kswlSPaV6^8&tq(`SpvD#% z*#sWc&xXRJW5A$NGZYW1V?$w3N*W4-y3J4+Ow102aWL#24x}UL)o zBGw{DG#(}7uuU{uzKq5-L6mAcY$=H}VjQN+_mt^=(`*TMR()s$;CdbVkC(86ST8z+ zEV|iZaOC<5qcQX5fE(>zSx3lOK_$3L1zIheIL`L39;G}T5s~V(BEP`yb8E%h?@6b1O$%KQi$;ZR-!~_+LCnkOQG#V)dmdXs}!yrB^PC|d&dF)i*u3<0b0(;Mt~O+1A=UcN}q zk4gBK^g|P>aCd3yp2OXx2`b!OnxMknr3osiwxNF@~8M zN-)B8fMBpNBEeqiPH&=={yW@SbpX#^hL=?Xt9G@r8-vxLYKjCCLhEi(@P_!$S#ar)Wbs!C%v5v#| zwaxKxt$~bqILTAd5`L03Oeo)p%T~_O>U2LN9(QcH79Egjc#e%ch_<`|{4y3lDm1`^ zQDX=jn#PPEV2^?D+}Q-eq6>rJb&Y9mIzh`hGe1unHeryK!yAb}uyQ{$k;tb7Hdr$^ zzH&c8BwCMrITcBpkkLTe*5+6gG%_b7$SpL2g0(re24^6Gf#O}8V+;;Nz{ir26hzBF zu)u9M5K%tn6;tO*Q@i>!0?@& zH-{iWDXV+oj8|yZo;C!uiiSl`=Dig6dx~L zy?XU9t~cP{9r$;n_YW8LNB5%s-m>{GH{OhYbUpI6=O%c`!wh}p!RIDeCBgjIdg=Ag zO~{e50p?;tJ$DVyi8t|n%J7_SiRWp<^UH6!(R%5VhpbDVeuH)FD{o{Fhi(yo5ZEE_ zGhJ_;xIzD$pnI26H(S=L`0#%qO8WN;hpbO}@4N+95-itU|y3Hn@o?rN-i9>{#{RNrRS-ar|#hifmuD9YUEJtTf5KN2+ zW{5drUVIlYqh5hRGDi#&UY)rk9{^)gvR^&o*V+h-5urj$LTiFpdM5q_wI*Ojy#gis zBc@D@t-T+N2|}!EVC?@ljh(yUixY=Pn(S{(#wvI@$QWrxXhCR;+7S0en*wIkE8!aC zXM2ah7!k$(u7NRnAECt+3S_IHhpQ4rCCht81K!cUDcYEKLK z;{^{gMfw{jjK~Zx_|vy{r7a9sk|C2(B=*ClXW0@o#QT>{r7a9sk|C192S6%>A^ z|3YGcG%mjTu8AY>ymI)1i7SVnvGMQ0D~ErCd*7J6a+qdGuF`ah;qOxO+qW5RTgP7h z@m2bF`RO-U^pD;bfHaxF{!ODm_JLchOLYAXFInnUpJ&kiE1>CiG!WH({>cIDmu_Zl zU;fj#hug&imz)4~5%5bl=eSpZU_A6UW|m zD7w&_-Zhq`mnkc>enL{WvfArROLwUaf{0ypfv-RRDXDkcXo4>JUz51iW z@=Evb{>owYeEo%+te38wv0naz8?AevK4X3TJ2zN6H=nUy{PYdhtzSFMu5bF@&s)#` zlN+t)pZr_ahkoT2>yQ7{S?b=GJ>NXsus-pd_gI&1YFg)kldgo5?h{UeBb@XeeJ4EhoVAP3tX(`~?E;p* z)4TNi?|rLb{fjUCJiC7Q)>GCeE!b?y0@^^@-|S~vZE#ro2rla}>c zTh=H3ZjoKj|LGjNzxLEIi{Nhh#ADXCt2@>|{KFaR<^Q{F-TV0&>+5gbws!I})@PS$ z)~EjIqt@;-RqKgAf5dv`hN?AXKVp67?^mpQW*)ZgJ65s2aH?P(J5sS;S;@1jHIcV| zoUCJFiC%$1T<>1XvG>+DvJB75fH6l-_)FI`5*Gutks>pTC` zvDRPNvF`g-$GZFFUF+C~9qU!JBd$M2JJzq&Epes$ul#rZ{0sl>N%r17-+amiA#O3&dd$=5nujg|7qr12qzkdgpqjLwB z!1jvT2e( z*)-{cY?|~#HvPY|Rc6cI`d_!R>(&2yhjsp2H(7N3#K&*3e)7`o)|Xzrjs2s~lfQ$W z2sTdlZUP^4Zw-90_rNE64}7!tAP0i`3FN}w`|1(?-o{(_d)c?~_db6wfA5UV-~0EI z{Jk&U&)>_ulfU;n@8s`2`v8CMp7-$ges7Aumzw79z0u+Cea7MMO}hNOo6`Kfr?dRM z`wRTNPd~!n`|u2Z@5aaYd$4!LAMBs;2Y+Dv!9N&(@E67({KtOyR;&HXr>uLRqwick zWlce6&!pdHJpmo={=4^CpMp+5`;jGU2Ri=x(z10gbpG=6iuKYHcUbF_tJaHu`wr{w zx3975t6OW<&CsQ|T8LBhTwGuIx%ad8zHnrn;koDb62m+7=Oxz86TiE`+WpktX+{Ud zEuxF!*cV_s6xUvX?T9$Yt`va1zt=tdixVP#T8N(~(fMDGpAGAJ{N!;|#7%L%9zSoe zuE$Tr`JdtViB(nX@5SR&)^8&(J%#*KT>lNe(|vLMy?^dle|X=N_2zjt9ff`X3DbeEU@oi>+|au{^T*MdEZ0Ud!IOFz2Wxz*!9xK?zQMX zT?wxA{d?Ja^qug~mGJ)h!*6Hpe0k#Stli7sz1Jc-=t^{DK(DwG-E?1E|Mj07wdlRw z<3|~u(?6VIc#ncchW|gmi_vp=mfP)jJ9neJ6hDO8nCOOW(vgPJ-X#*RS1m zl-;*}4YDP8k}<)9PQp)gQ+sr!_US%d3GVa9P9bNUV&4f5qYrrLKDEQ((Jq4r9dw`Q zqVGg!)SrzplKr)3ePb^M`^~?&dhBhJmi2F+=KpY$#$S6M#ds09KKu8TyDdxn`+bf= zuiY>_JIEUdUck}S1pCL&PFy}RZyo#OgP}2%{Xd?apz)UJ*`=5Gd#172#qWAJf0zm3 zOeUY>I1SH^{6`PxyB-a_M?A5yU&J$de({?IdD4Tx-|~LjI`+$Lb{A5zzIV@a6SuM@ zeQ3kGFJAfFJLnl-DHeS8jQ5_7Pr%rd>^;l$?9vaOW$n>3`qN=v_%=P`_kzzp_bx@J zct%(8NAHP0fm?i!y1p=}jsO1vDi$An+_GNR%ox_+r zc;CYPJZeWYR$$KrydWTOU)+wme&fx9>AMRr{>V1aArOw(o_Ou2aJ>`4rNZm~1E8Oa A0ssI2 literal 0 HcmV?d00001 diff --git a/shields/tutuShield/tutuShield_02.job b/shields/tutuShield/tutuShield_02.job new file mode 100644 index 0000000000000000000000000000000000000000..159f4b344ac500181f9caf4ca46a8e559215ac76 GIT binary patch literal 65672 zcmeHwdyr&jb>H1ZyOKsPKmiu8&}AYdKr=mkZ@(pt*m>>lEc5d8?5-4-HS~1%%rxr9 zR6lliWNh{iDiH~JRGbtWf@BeFC$4}Ygo=q@+Dh53tyGK&at!1F7*`T1C5+18vIvPb zzw>?fd)#}!+kLxtM8#&icJ_APd%p9XbG~!VcfRvI?!wH;r3HJY*KMu0dfjTN=|!eBD~PRPRZSeZ@qK!P`V`7!0?%BW{xknf)5*h1!)@!n*)cS5l26R)Peo@)HzU89Up!M=^GB8-yj@= zML>0ipwDj-j=?5|!{;{&$6ysu#v$nQn}uVri{bD|!Cf!Qhi-(p_!Wc4|%4G^6t@O=T+{&KPVNx z%0PlBfoL?8%1G8B!*;D~A`|rv(adROCn21Q&LMG1TR9)XsmysuoM;CgaL%_e;OfZs zk?K8U^GIts)v8~=zfMbQSq60&983Bji&1*|w6vGyYN^v}9{`EcWaeAt9zq1fs_1veb8vT@h+pn62wWV;sk8(S^S`QgDRf|I^4M)V8O z_fI|*q>jXiR0pGzj&HHKjk>+G!e}m_AbsA+Uag|M6G$FGZcb8aFg(DCHijaSKJ#!% z=hp01oTSv^wNkgdyQyavDGkEuL_-_>KonA`&1XC1R$GxdP>fLsr9O9;OM8;*kN=*apgFpyMc>FTgHjTcbyh{|rQn9HYUmKBYR|Qe{y46s@ z1e}u>lp)L-BHD3P2!*dYMkvCF7^MoiNms`R_cS6#>Db(4O2-J*8WE#ZN;jF-F{;tj zuyT}6%}r)>j4CV}7Nc}(ZZfN5RLV0fMwH}nl$*@y7~z>ll%w=%ZZfZ9lpQyPGDGR3 zv>7*9&@n2mheN1hDcA9t%*Y63AmBPaiy0ZAG8o5aFe4*W_Tu>LWn_fPTpXXdjEqoO zi{rDFkr66maUzUmNVNeao~<}OTcOa>GnNR9#`w`yAt}D=BvD!C`D&-!uI+Ut$`Knyg?7c|d8|;-qD7U| zp+z*te#LBk8QCu7R?9cX5L=8>a&6mItJJPwjoV(mRBPgTq1LY27oF*G7~Vd=Ty&?$ zT{n?;3;A?1OO3yeJ9vJfR_X4tZwwuvk}Ul$aRf^BLr0+5By3^?q_QkgN_2Y!$HV6_ zYC_V7M_RvVU&MO9d?J_1Ev#l-PiMv- zJv%q|$P^)i>;+CYQOG6psT@gqdOVlN=G;QEz<&2fn8gCkwOKcWFqKH9a#=~DgB6fz z$H~M;=@?L^^KLGc7F0TDzjR*6Ar6^I15PKOhLQ=K8IYMyIe8L99E>RgMz`SRlPQO3 zEj3Oqn@Pn+m^L6x=N%`IYi@RBuZ%|P9c#ijm7OA!Wv9OhdLt}iQUyk1hfj*O_NhXG#bTK1j?4eI3bM%d5`)`metOw*B&1P`XKdrH!qm$hh7*mil^OF&f(4g z>f&S)7+j=1{oy*DOcYWyKOve=x(7E0bDo{qkQyV=<`U^FEW>jUu9L{7v#3WmlWbO@ zOhGxg$8qvNd0IILT?f;t46u;TAwQkSW@$K0G6omfe8GE$-{M(63FwHOe9Ch@3~?cw zM$DGB~89^yO{OSoOqjRf2g^vpw?$!dL-coq*a>_rw1Aa>z73!+jIjaTV) zBZiDsr9h0e_9?R2Scp^1rV)xWg&>kMiEJUC)yy!JaI=Mi03phKAhD_;=b6d~98^s< zkxZhe;+jZ;PbD&LMuJc^K9HEzWReAmgIAMsoV>3ZbPN)NSEGT%swPF9i^LI84LWuS z@+wxNQ$p3`67a}^;aJ?b2{(@rgZbcGA_v>2=13-73Kp4X=XWJC_CUEDS~VeU7FrHR z$FXG+Ne>wUB!mzg8^7BRnebI9C=H??um8e1#N^B+L3hoMl(UArf>!h6}_!sJ_ADY{lb|bN>ep0%gzzJi?;FqraT+2TbZJOXz}UX2D4vzoM` znQ|G|4jf4IkTcu6S%#x@Uz2TRn`a4eHvlqAMo7$E?u z2!fy#+90XhvUjmk%kj-cPCu}}fKVkFzJ^0P` z<%Fm~wulXe!BU!X5rQZ5Q+e{xwQ|s>bwi4x514*NL>3r>cqx2+psZN!; zligCICM(=))%SN=O*w#2e7dxWm$u_kCfG$3Mq6yh16 z0AwmrK)fzviujO$%BMUoK*z}ZS*{?! zGnm12Kj|XnF34BJ292njq=@)cVvGp}pP9`$CQS>HI1FSS@wt)jfP@^3+2TS*(20;O z14|Kui%2R1aJqO#(ujc82AsfOIu8^O5AyfO7&CnjXfF89p$`z_!yy2Z@MtDmaFC96 zq-i0I5DPAgK~l!(@|{F3mrJVAVSLC;B9AdSXD-0DpU5EqT?dB1#1+685G0bUF(K1v z8@TqM_X)IMJg7dkBCyOMaV$L4FpzmCn+7FIhsZdk~H!(Dz0=k|Fx@AwUDwkHir=vs6-bmS`(Cl}d&b7K6l0 zGF4D279WtNRI+I{qzG6Q=|v7BGTyDSxtCag5j2ufrSsC;MNt?8!{kO1)1hI#EhI2_ z%X?!XHcpNWSa6Yg2yjb2q@bvC5LLtgO1YW5F=V7Dq>yK1Q&7UB7Za4^=cZJj|EelZ zK*5k2fI38mmRjmDJ|xR#cu6_G(5VUY8P&bShb$y8NHJ+GsZWMKAi2kdi_%8ihjYXL zTn0rE+8qLnmJiu#IxFldE?gvq)PgG63RUw_IFj-N0>fsF%f~OWT*Woho)4nE$Tgh+%jlvA#1I$2( z!7ZjgvA7~_N|NLgsWiGnr9z0iTsLJ zGpJ=QIzg>nkj;Y9^9*qiG(}5%L}Mc(nRBxto1xajq@ieMbQ6d~l*NwHE)aJr$J0Qp zKSm7gAoXj~h+Gy6>1E|Gb*x{=MCs#T>7e;iS&_5#+%qP_h&htYD9q&GZIE&o14jfG z$$Z|dIxgl5HTA}Vg(L#Va5QC-4_1AI{TUP-BTQdoqpdW19fhGhf-m?m&!J^85s)+! ziDeC#R27N=>&m85X6r&yNv(Lp5Gj{MKo?M4Fr7_*Xa)iBYc_qh)P0 zh|^(_IyQM?vVa)Efu~F&@RH3!{>(x8VPr$0Fh7$Q`hX!!f#SNb%_AJoL5R{j&|oKp z;hE^470*ZmLUDv_utW&GAlIW{>ro7*+8K~oTd67IC=CPUanPf|bFlCQ-k8E}skVwr z7z{X7eI)q7^s%WA3QGvdW0T*8I$}|;G8r(GqydKz(J1`D@gOV`HUlED-omIql-`BWdAwL5@UgkvKrjL{9F_$ty&YR z3%7^dwMlhzNIn(R*a?8piBN9@&j5$5#w2i3Sh%3vo-zeM!eX>g6;W0OYjwgQM;=3R zg)y6equ}ASU)YAh9frQb415)5lW-zZj%ZDcj||pIZVu)tg#-1(oDlmRtQy%#U2hRd zAXR4;V@^yDvqr@1BeL`+NLq52RX!4cX)L4)iU(^JK%Z)AfT5Q$b=FuWh8{`d8=zUu zxRiu2@IymuW(Y`_f+0e|_zTmTJa!T6Hw5bBGtEQu~w8n>#S91dbV z6=otWL$2Knilq$LMj{&tpe~j%h5Hin^ysq~X^d7tVx^RkR?0VHYE6k1uo^srXV)8d_Yy@iJq-Q6{B*O2I)lqAq6Z2^c)W_&UXoL&lC=&%%cqWq$Q0@U{FLi(*9TWd7t3XQy zgtj0`hN79GWMq*uIhD0QGrO27Fj#4xe4Fak2oB8_<~mpqL;)#6Yj8qiB)v}IC03Q@7NT_Q!FfnTAv1Q@7SLPu`}_h~XGg2a@CM2HkO zhn^@C1(J{;6XNShI#_NyG&NF3t6Bo zEMRMpM2C(tX-*~(Byv-tUZ@Jf`H%~MtI>iYC>|D1n{6ApdNl_PO@?|!h&V-SxP%44 zFyPOOZUx)Ouw&KJc_a+780#7+OkppbvRmxTh)_LrC|b7#xdXYOZ>KC(T3e2Q-(cAY zh{zj#q}RQXH$${Q^oG;`al48Y_zp-T7`7D32BEP60);R#_$ldskO6}5%#I3ChsH*M za*^IPh!A~HX2AwF^wM@rqtavdqq1EDw`gD^oPV+csYc_75(-FeUJ4MsWIBodA;>Zs z026=GRRIi|Z%oo+j0DL@l>sDX7J|cV>QImi7W@JT!y9AdNRZeWqXWgG8;3_{*=26;W3eC!kn#vP;}5@=9tw^>&P;u(3*O3N1ww&5(U$2 z<~|JjqqJ2yn1!UH0*u`!28${KMG3ns9~A~APa`>{KnMe9W`nilXqloEVou@0uqPnx z;vBYMoftH0sZ~HB#NduO2pLXrB$?I);gBfM^%h!!AXzobD6CL3z&m-)jod#R~a zDEos!yYyoKEkH{QW*w3ZTF>BkFsY7>xtimFr=>YV;b}+XhE}2}vq3f?i|JyrV81Mp zoWsakvqdDT(QSmmVoC?y9c?L9DuzJ-yns^LQMjQza6T(LL2O4vG76C(wN{WF^cJvX zsggA6qe##I8I};nMTVe>qE>iq9VblP>$yf_2O`(pDW=rXb(wUH(%Ly~b_d&p(5xo6 z5?@4V>=(o&h-x9s$Iv96LEXe=AI!z(v6Y9`%0by_bDh}j17YjCSH%8cc9y|e8!K_t z*4y~IM9?oG{llA=D#h9o>?Kv^tp*tI$7oudZNkS5u>lbT;3HYq5MsR!f+*G4!$77f zh&QMjgyv@m%bt9oqbLz$U5p964vz-2VNJ)X9ppE)!4P_8B-&|+6|xFiuY^feO*%$jIu^d;7z@K3o}KBWQ&VA{V1KK}x15bNK|>Q8kEEJv+@j zqrV^lcT1+@!_xqD!fw! z^yt)K<5vtQ>?TFh#?-F{r4oP~S<>1Z1>zWtd4drk_&~g)+BhB*k`wUvrVf%A(JBo9 zj>HEo{6(iH)sRR;%xZGEXyh!VC&{K_f`LMezYN+Rtkw@W5+_6+W+9~R)8a?5Bst)8 zNR9<@mtzgBLy`)@jwF9tUW3UT)zxB60M6ax zVOhZFu&fAMA=I=8osbzD7NZvAL=1e9v@mPpNk1l7pPE^YPg~RwAC#ScV$3bE=mG0^ zMfeaOl8(c{tZsxn1F{do{s>w$KmqbM=ecF% zYMV~?sCcIra;F5gG~BvA0`oyr8Smz=0#U?6sPBt6<0Ob*dG+*0k}q2z#&HdOu!Y z)3Hm<3jL_`@FQW{8@siRjeqQ%6}$t==3MUM1`a&AP$eY5(XFh^M&_=l~Q7b3}W!t^_guPeCn;C(*TCLmLI3>o$*)e;)c6pcXc3O=p zN;P-zsMTPiXf<(YGoEyDs!PINvrDG+K06XZ=T&0wa@BSy|z0LRFs*4q|Z)3HHh zr@UKjl)9j^!{1d$ir^C;F}7VVT>z6E-mGYCI?V=RAX>BH|1; z7|q)xTQoqmK|GRr21T`7I$tH_CEBY^daTO<)kDW0=yKr^N<5X)>-1{f(pIfr>+UlN zmh8@6wOrdq%SbtSDqd-EEhiM!cDvO^yO0v>?3$Ilj5ooQ%3a8a3`*LO=ZN50NC@>R z19-C8x?q>KNTZ-?&~;(oWS-o9nHYp|L1wLX1x;Juk5KQWS{biruNrlld6f&T_LJl% z;6KaU5s{qtdN2!EPpg3g&qXurGuHsyC1)b+j2v3EU9Oet%;_{+%}MPR!%jxR$lh+% z>#Yle!H*)tpV%Ib!_ zw79&u0kDnL347xd@P~@ot0(N`h4r~pz%nztxU{(OIIHi(;>HTqbpn;m*lRQE8;f&i zmS)!NwKMB$tHlMI$emv-&MnO>E-%cJUtB?z_QKhPl?}UiYG!GP*SJ#LSll?Xu|QPM zt*&gWFM_z$^`bqy0P<#Lmlk*(;BS6$ePM2c7*XHmAPZ2oG+`Il7UmY|%fe#|U}I+e z@d*!Sap5P=00vJCoRE?bnA|7qbEg(?AFaE>MKwdxC_-d&)RYno^+AFg8k6?Q z!pWt@lM5?zOwOx><=kR%;azB^MNoEAD3GX397~*~Pf|TXeGibAw zlc!df7B-P?-b9;1S1Dd8*V<+DK{!sI4&!J4w@Z~;ufx_4A?BCI&|&2uCW+LMZ8Y}i zS6UWDg<8oJUm-t2$uvJWVw95nEW>Ey~?t@q> zH3VRYw6HLWO?ir`xk+q+rNb_#$8myQPM*VuyX-}9UTfg(9*?>cYA$ZdYyOZTIFBWB zo9oa9*7ov1#YxPp;dLI~>EkK1I-PhZ?$TGB+bnj>JL;~Sau*m2BE{3Boim4>Dph8q$p+sX-4 zBQt~9SRlDhi9nx#WTeKCYDwF~y~d@}&+<6sQ5@~)(@e@5ApWYg;7*{V6dSM1EHB8j z5;cTO1JP2LAD|fsfeu4mNKCjL&@Ry7$VozXc4p~}!q>(?r-aCbzeojB`}9sn(raii zJ9nED8!6)ywF16+cRlo;BKwiB?FwNe-np1Dk%;Ppq`3mR&F#>rME&Y6)Yw~fJO!J8KoEh_R-d)r(nvbK`5P;QD z{R;PSBO#HdgV}auj~NjMV~d_l4%QYYvD<)NhZVE{+-IvyV(PAd4rq%&9z$D%;!v10 z<&AmpRg8Z4d%Rk^7bfeiofd^ikzv(p@zlA^>|;(Qxrq_L!ebi*Ejv}w7Q`^9#u8lk zUC_r4m8Mg1Ijt-P+XY`IL#JI+NnnKIU?n+5rh^P0PR#*CTzsq?!^X=I zHW6De`r@LJg$NnvlXe5;NG&;C$OFbl=9T1>v67rJT9)b|Mlqd(#SvU^>^(O4 z&Jc2BXc3ph9ut|s!wdQFU}|`HJiHKR5F21T4!x*3CQXW}D~MNRV0#Ak2F1llo1#Sjjy1+uI4>*= zZ^QCBARL&0C@r28VX?d%qmarGeORD`T_{{rhQTJw1+d}RB#DjF9FIEMMo*y-S-+4I z(MNj;>FvnFw}>nmXc5jO;S((%k3z2;u!(X(`h;%A#h0b?%86mm8VDcl9-_Bk5`9?w zK7_@|l0Ya*hA|G~3;Yj5=W6?UkcEara)+pc>?kKY2W(cSVLy{QI*opgQm+J?{LsPBU|rUMUKKkj zcpY#~*r_C3F?K6TSB!1=zV{CVf*n-{?LN$4M$cafM9+OE7$JWT0dbwd)?w)Y2Xr8M z9XYJdN(C5!mSYv25Ehp0(6}7}B8w)$abaNwwoj*`>cH{~oN|;gif^Lm5AsTjU&GH4|Mmst#Ye}i8SN%C7YXE1&lq%fjTU+ z&Th-Z`dG16ZD2xR!ah~SoKm+txkj1Db_JR6>cvvWUTk){JwS8;Qrs=I_a^LROdz#e z^^!tD-%349dbDX+Ki96(yrR9eFD8*n&Ew@#rPJE(CMtaDK|#mPgces9=irSY9X)kQ zRWp`~4VwL1?RD8SA>(3)*To(OtC8xD0k{}kVmyPz&#+gnn!<|ZZP}nW_5y7k5$bCQ z5#^9l5ITLFKPWzw`r+l(6s=G>_I@7E9eAXIKrG!+3f|A-__P`0X7;8i;a)s^;x2P8 zLkw~xk0J6n)r0VPIUJo`&Q}0mT>66eL^(gYxWWr!6Xk-~;_-?%YWteP+_EBpHLT=B zIX`WlrURL$m{OsG(L=NbYM9a7avTd4lzfZ(X6!*R%95Z}lw<}Yg*gZVyBlBvBL$;ET<2kU&u|gfC{J1nCp48NeqRe;~g>`b5DHKF!B6 zR~gyDLL-ANQF(98*(ybcF7(IiGeYQ@=nFJ#;x2JLDmqax*cA-a>;T_tHcMcn`6Vy2 zCT9N-l@A;_cN$`Hi^j?f2hOQPAA+xl0=IP?}4Idt%3)hy?gy0cVG$+b#y*F zqoJWb2xz=S@95<4$)oE=!)IJHaz^8d;&vuXnu-HcqhUci01L*y*Vj@M7wc$-Ax5OG zvFiYbiZFHY)Iw}Lq{O5MjeK)H-iyLu!G_r%ffojWP4OJD$EXQ0SWuHm0mQypD*uEK z9iHTk5P%$+MMwf>jotNPGzD2;=ZECLb7X!jppnCqI}-)GK8xe$u7X$K$-;~YV+ApN z>&N8TFpXJY-h(j-_#jE5g1C4z3Hb;TEXT#8(Idzw32EdA!Nrx2jt2I~TpWof79K%9 z#N)#w*p0*^Mq<%}GH)V+uP`ljvC43(*JUd?@OnAz*Lkc$#p=IGb(@Xq{6EX}QU}ZE zPz(EJH+zjOT2g>)4WHFOu~M(z)kc*(Q{qjC!=^B5WMxA*pzs{W`Epq=PhFj{ILE`N z!BDHV(`@%>O{GUjt=-+kGR4x~W(8mOH@8|CE_M>Di-7s!TDE3pi;XL_ugh4>$3lgvBBpq-fb1bVGnHx7>H!$B zV1X})Y}Puv7?;utQQL6=9UK(yVSQ%9yVA^ zTx)L+gKz$#KjIq?A@xQ5wmU}3~?hP&t?BRoYsT* z5vHJWuGX&7pCp0WD*|q~ z>=S`kT*4O#iW4$I#=X~3z^mKaovOlY0&kor?|1^0slGDIN8>!@*&BYaaNP~Em@q!G zpCmhs4_j-RC@`rBh$vl*4-6Y1DweQ&M@h;xb^x9BI_>?Soi4Ho!b0e@Ap`@UiB`at zF2;qeW3LQ$!qh|2mC<{31bF8}s|_amkzCmW8B5jXPIq^{w!MvAM9s3M4#`F%q-K@9 zlcl{A*jmz3p(qksAvgr@^`5S_qw76}tpQwFn+on_d3rQpI% zg#9D)-a=}Hjn9VqV(rq!1-8dV0%bTZT2C)VDi{G_ehG6_)J@{Y9>!K)R6p+xqu5<# z&S>v0MiD-t;MfwQ%FO_^A21}n1-6-~U-QCAQJvNHSw1S1?1UxQ-6MP0E$ykM0B6lg zsMfW1bsGb!1jc)w-}*o#46;>{DQ`pHBoaQ4ubW_bd!^MR?j(%C z#ca>*V)PG_)?AUHrqyl#w@}_*xY)&@Lc-d@INe=ro{)x`#q56Y?W;}!j)!cZp$)NHR4fHF~ks<*QPCnd#h62r{-mgd(@ z6s@)D`#Zj&oS|K2u?bi6BPMDXh|+D7AQ_0#>_`x$*O4Gft0O^_PDg?$4-yHY97rUH z@*j~Pn)`@`QQjjOCWe4D-w}-`hKM!K5sgO)Ijj?nrZ1*(O%TQE4qHqjl^DnA@?B-R z-87oQoK+th0k~en4&){5A=c9lA&qV{8626u!f1@WIp9XCThbA7R*(zsP>NQICr+>( ztdkU}!y{70Rzw)szuc|S0F)s$L2zOWw@;>J5<2 z&{kJ(L(TMfLq8(LMzlkll&8m|HYJP5Q-6-xVK&|DEv>+0E9UMX{!XJrvoYL1dNa5< zM2cBykR*V=DkO1AXk~+eCUO^kLQcn^1KV`P7B(Rb&ORnw5ICSNj4Kg`M6r)b<*cFC zBs8DRabWfoFRoMvO5q6QY*rizYnUca`R3n=p(&4}FmF(>K{6i98*k(hdBbta>UA18 zfD5mHqT_<(iN@N(f?*hoq0idhYG0$t&3$~6^$>iqt*xxlX!hP)u7Q)TXgO$%?XdxS zh-|ep_vy_hcfKi04exXFGf2yOKoZoFPQQnK<8+wm@pW&Nm0$fA=X6oFwAII|K5vn( zsAQ>#<26&0be9POC*+Cx@D;C36BUx>8&rRFZgXV;r+2(p_EWtkor!{(C|bc1#wLt_ zE&B3S7LeX>wx||5iUwz!faBKoHuE+?ds|*xk=4Db^_=*TR0A2Fm|0(0SXz?Ry$V9q zR8)MW&V_PvIHXB_^IY0Veu{y26b`yN+f%ja@zc=gy%PEbujl8(RkfJjx2c@YIIr=U zZCYD`cXYd?M(XTU7u(f51;hPqwf)#EMqbkQ90%yh6LVKz`L>dVW9ygj4cMYp|zM11ne;o9y^;rn0H|?Jg+guRVS!9XXoaq zg-sZw=I}})5UkwCR3!3gfeqHom9N|f7m3;<3#TG!6Ef;c+uAJif=1?q1i6MrP_Q=3 zR^jwT&{w=`vy8#M2>4hsl7eU%2o|{RMj}W@3Q-{NY|Gq8YqObw2>7e+6b0Tl@>5f6 zJrjMYv`ch071K6k`nolK9vFVJ6X)P0XidcQIF8;Pw;Q#lb_463(a+P#W;j4>di(-E zNDgEbYnaBd&w7XK;f&RUYqO<~tu21gA06z)q>mL&4IFhxCw}29K%9I>`&uT(F6`FI zyYPnC>jEmg%DucfuBq@$!gDfFGio_@c80b#A7rbbjHZV^9C^ znX%7KK09X7=Zh~N|DBIm)(7$R(zR>Xj^KJ2f4AfBHQpaC>_hjWK5yRqR~v7_A6>8B z_v{!?d6=OuXP+HonFRA=>#_Tv9g`zv1I)j;Lp^s5&xtotK4o}Lx5V?b;rTZ|a>&~I zJt5y1>GN6d@g0%q7NP)O#8LBgvucXyejSVvQS5Uaj8S>G7NY|ZDoQX1sfzs=d#(h=h#>a4 zPR7WI=*~lD$gAN;#u(M7x%|{#OJ z5t=2rM$;*V&(q)k`mKiB*6|mAaE<=1JoS2u{;0eFq{#&KH-!Y*Pv2}^qU*PK%2Lnz zy!?hc#~ypz)g!l|f~fl6dHEXxt&a>=fAJ`*`^q1`EnNS{UeD|8Q~zW4-#KPaTs=a$ z$Ef<({&AoBf1UGw<Q-hzjJIC?LZ7h)&JZxed>Svy`2BgJds~M%91$Z}+MH=?8iJZ=4U;{|C8&^&i`W{NHl*2uaF1 zqAT}aJwo;zRsU}N8@GP@E6wVr?JtYzVP^s8&uD=#0BSGs@K=Z~=G>tDFZ`sUTM){DRU8ta~?&RQ>g>#((R z^sM###|~S!eEAH!zVX{XWj*&#USmD?{=Z@U%qMTQ{_xk%S=P?&*74sxYklb5uVcSA z({EtUAN-$hvVNxfg!TN_j#*Z|Y|-xz{cy*k=bK0B)`$Pi-PWa>8rFH>q$}a1`-GF= z2q%@J--L&rvwHEJ)r)7WUcl0CDofA*?$_(qzx>QkvFitJIcxH*$TRZt_>k~^=>!bhd5o`By#d_jTAGR(Zu2>WH!`8R{UfH^P`XTGy z<7Mm9rwi8cV`b~5l{~vzV|nWb$r>h>`1O^4lwA%QUm@a?)&u?SbYybUr>-^VmvgrEZ zr*F1?_|4m_&%AOg`=jsUzk!|zI!^a)0v~j54Scb3;FFaD->e+uKyW{VTv)j;9^>UU z-ptEo-^$B<>K=UM@Ao%e}$j z(ouM&G(}+L}O{T~5E(dID{@`|sateH3l_iJx1tcF@KzEG=92pv_;L zTCu+Q#O>Dl_^S2%-@M(r>uqc7`pVXtbrfwWt`__hJr~!Pe&Q!txlbQkXL#xTb?pZ_uTpIBAJKF^<+uznqJ>1o8L;`%%IP4~t1xBj_f z{r@R!huM9)62C9J^ssg9_JT#fiC?-B zzjXi7*RYP0;P?3T%Xdz)`_?Z*wggWyCV0?E_=#?+kFHcd-KQ(Ted_pW#EcW{H{oIQ z0WaOBdKf(FW$>Vb?h{?~o9K-CTx@;g)>F57t7dheuYLd8@wbj!*6%&V|L}~)U&%km zSR1iE`}_P|mL>jvo3GB&b2kj#4&nxa7jSenz<%K!&yHO=HfJ6G$h$&gs&xTX`2X)CWAVPnE$g)n{)a+$ z;4gm%V1({bt`K8y(znZY_&(M1@~_=7Mq>q5MuVqk!Tao9pZ|`19=OEEK;NBs_SnA$ lOn^R7q+flnVT;uyWaO8_@!*brGA7(F@tW>{a4D7a{{Tm#qbvXb literal 0 HcmV?d00001 From 3a930107b765f4b715d35d684529d1d2f7ec5df5 Mon Sep 17 00:00:00 2001 From: MDreamer Date: Sat, 10 Jan 2015 20:29:27 +0200 Subject: [PATCH 2/2] didn't save last time :\ --- shields/tutuShield/tutuShield.brd | 68 +++++++++- shields/tutuShield/tutuShield.sch | 209 +++++++++++++++++++++++++++++- 2 files changed, 275 insertions(+), 2 deletions(-) diff --git a/shields/tutuShield/tutuShield.brd b/shields/tutuShield/tutuShield.brd index ee52db6..8560e38 100644 --- a/shields/tutuShield/tutuShield.brd +++ b/shields/tutuShield/tutuShield.brd @@ -1,6 +1,6 @@ - + @@ -785,6 +785,23 @@ disable layers: tNames, bNames, tPlace, bPlace + + + + + +>NAME +>VALUE + + + + + +>NAME +>VALUE + + + @@ -981,6 +998,12 @@ disable layers: tNames, bNames, tPlace, bPlace + + + + + + @@ -999,11 +1022,21 @@ disable layers: tNames, bNames, tPlace, bPlace + + + + + + + + + + @@ -1016,12 +1049,18 @@ disable layers: tNames, bNames, tPlace, bPlace + + + + + + @@ -1032,6 +1071,33 @@ disable layers: tNames, bNames, tPlace, bPlace + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/shields/tutuShield/tutuShield.sch b/shields/tutuShield/tutuShield.sch index 1b3d776..7340d77 100644 --- a/shields/tutuShield/tutuShield.sch +++ b/shields/tutuShield/tutuShield.sch @@ -1,6 +1,6 @@ - + @@ -596,6 +596,23 @@ DIN A4, landscape with location and doc. field + + + + + +>NAME +>VALUE + + + + + +>NAME +>VALUE + + + @@ -614,6 +631,41 @@ DIN A4, landscape with location and doc. field + + + +>NAME +>VALUE + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + +>NAME +>VALUE + + + @@ -639,6 +691,59 @@ DIN A4, landscape with location and doc. field + +<b>Capacitor</b> +Standard 0603 ceramic capacitor, and 0.1" leaded capacitor. + + + + + + + + + + + + + + + + +<b>PIN HEADER</b> + + + + + + + + + + + + + + + + +<b>Resistor</b> +Basic schematic elements and footprints for 0603, 1206, and PTH resistors. + + + + + + + + + + + + + + + @@ -663,6 +768,13 @@ DIN A4, landscape with location and doc. field + + + + + + + @@ -741,6 +853,19 @@ LICENSE: CERN OHL 1.7 + + + + + + + + + + + + + @@ -763,6 +888,19 @@ LICENSE: CERN OHL 1.7 + + + + + + @@ -770,6 +908,15 @@ LICENSE: CERN OHL 1.7 + + + + @@ -783,6 +930,11 @@ LICENSE: CERN OHL 1.7 + + + + @@ -790,6 +942,11 @@ LICENSE: CERN OHL 1.7 + + + + @@ -797,6 +954,11 @@ LICENSE: CERN OHL 1.7 + + + + @@ -819,6 +981,51 @@ LICENSE: CERN OHL 1.7 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +